Use of constructivism and collaborative teaching in an ILP processors course

  1. Moreno, L.
  2. González, C.
  3. Castilla, I.
  4. González, E.J.
  5. Sigut, J.
Aldizkaria:
IEEE Transactions on Education

ISSN: 0018-9359

Argitalpen urtea: 2007

Alea: 50

Zenbakia: 2

Orrialdeak: 101-111

Mota: Artikulua

DOI: 10.1109/TE.2006.886461 GOOGLE SCHOLAR